Part Number Hot Search : 
AM7996DC TDA892 DTC143Z PL0029 022032 FR102 ACHIP 10401
Product Description
Full Text Search
 

To Download QL5232 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 QL5232 - QuickPCITM
33 MHz/32-bit PCI Master/Target with Embedded Programmable Logic and dual Port SRAM last updated 12/3/99
DEVICE HIGHLIGHTS
High Performance PCI Controller
s 32-bit / 33 MHz PCI Master/Target s Zero-wait state PCI Master provides 132 MB/s transfer rates s Programmable back-end interface to optional local processor s Independent PCI bus (33 MHz) and local bus
Device Highlights
Q8DA7AA""AHCA"!AivAqhhAhqAhqqr
Q8DA8PIUSPGG@S CDBC H6TU@S 8PIUSPGG@S TQ@@9 96U6 Q6UC U6SB@U 8PIUSPGG@S
(up to 160 MHz) clocks
s Fully customizable PCI Configuration Space s Configurable FIFOs with depths up to 256 s Reference design with driver code (Win 95/98/Win 2000/
% !%%AVrADP HC ADAP
32
DIU@SA68@
8svt CvtuATrrq GtvpA8ryy !!FABhr 9H6 8yyr QSPBS6HH67G@AAGPBD8 Thpr
NT4.0) available
s PCI v2.2 compliant s Supports Type 0 Configuration Cycles in Target mode s 3.3V, 5V Tolerant PCI signaling supports Universal
PCI Adapter designs
s 3.3V CMOS in 208-pin PQFP and 456-pin PBGA s Supports endian conversions s Unlimited/Continuous Burst Transfers Supported
FIGURE 1. QL5232 Diagram
ARCHITECTURE OVERVIEW
Architecture Overview
The QL5232 device in the QuickLogic QuickPCI ESP (Embedded Standard Product) family provides a complete and customizable PCI interface solution combined with 122,000 system gates of programmable logic. This device eliminates any need for the designer to worry about PCI bus compliance, yet allows for the maximum 32-bit PCI bus bandwidth (132 MB/s). The programmable logic portion of the device contains 1302 QuickLogic Logic Cells, and 22 QuickLogic Dual-Port RAM Blocks. These configurable RAM blocks can be configured in many width/depth combinations. They can also be combined with logic cells to form FIFOs, or be initialized via Serial EEPROM on power-up and used as ROMs. The QL5232 device meets PCI 2.2 electrical and timing specifications and has been fully hardwaretested. This device also supports the Win'98 and PC'98 standards. The QL5232 device features 3.3volt operation with multi-volt compatible I/Os. Thus it can easily operate in 3-volt systems and is fully compatible with 3.3V,5V or Universal PCI card development.
Extendable PCI Functionality
s s s s s s s s
Support for Configuration Space from 0x40 to 0x3FF Multi-Function, Expanded Capabilities, & Expansion ROM capable Power management, Compact PCI, hot-swap/hot-plug compatible PCI v2.2 Power Management Spec compatible PCI v2.2 Vital Product Data (VPD) configuration support Programmable Interrupt Generator I2O support with local processor Mailbox register support
Programmable Logic
s 122K system gates / 1302 Logic Cells s 25,344 RAM bits, up to 266 I/O pins s 250 MHz 16-bit counters, 275 MHz Datapaths, 160 MHz FIFOs s All back-end interface and glue-logic can be implemented on chip s 11 64-deep FIFOs or 5 128-deep FIFOs or a 2 256-deep FIFO
or a combination that requires 22 or less QuickLogic RAM Modules
s (3) 32-bit busses interface between the PCI Controller and the
Programmable Logic
Rev B
QL5232 - QuickPCITM
PCI CONTROLLER
The PCI Controller is a 32-bit/33 MHz PCI 2.2 Compliant Master/Target Controller. It is capable of infinite length Master Write and Read transactions at zero wait state (132 MBytes/second). The Master will never insert wait states during transfers, so data should be supplied or received by FIFOs, which can be configured in the programmable region of the device. The Master Controller will most often be operated by a DMA Controller in the programmable region of the device. A DMA Controller reference design is available. The Target interface offers full PCI Configuration Space and flexible target addressing. Any number of 32-bit BARs may be configured, as either memory or I/O space. All required and options PCI 2.2 Configuration Space registers can be implemented within the programmable region of the device. A reference design of a Target Configuration and Addressing module is provided. The interface ports are divided into a set of ports for master transactions and a set for target transactions. The Master DMA controller and Target Configuration Space and Address Decoding are done in the programmable logic region of the device. Since these functions are not timing critical, leaving these elements in the programmable region allows the greatest degree of flexibility to the designer. Reference DMA controller, Configuration Space, and Address Decoding blocks are included so that the design cycle can be minimized.
PCI Controller
DMA MASTER/TARGET CONTROL
The customizable DMA controller included with the QuickWorks design software contains the following features:
s s s s
DMA Master/Target Control
Configurable DMA count size for reads and writes (up to 30-bits) Configurable DMA burst size for PCI (including unlimited/continuous burst) Programmable Arbitration between DMA Read & Write transactions DMA Registers may be mapped to any area of Target Memory Space - Read Address (32-bit register) - Write Address (32-bit register) - Read Length (16-bit register) / Write Length (16-bit register) - Control and Status (32-bit register, includes 8 bit Burst Length)
s
DMA Registers are available to the local design or the PCI bus Programmable Interrupt Control to signal end of transfer or other event
s
CONFIGURABLEFIFOs Configurable FIFOS
FIFOs may be created with the Ram/FIFO wizard in the QuickWorks tools. The figure below shows the graphical interface used to create these FIFOs. FIFOs may be designed up to 256 deep. With 22 RAM cells available in the QL5232, that allows for up to 11 FIFOs at 64 deep (36 wide), 5 FIFOs at 128 deep (36 wide), or 2 FIFOs at 256 deep (36 wide).
Configuration Space and Address CONFIGURATION SPACE Decode AND ADDRESS DECODE
The configuration space is completely customizable in the programmable region of the device. PCI address and command decoding is performed by logic in the programmable section of the device. This allows support for any size of memory or I/O space for back-end logic. It also allows the user to implement any subset of the PCI commands supported by the QL5232. QuickLogic provides a reference Address Register/Counter and Command Decode block.
FIGURE 2. Graphical Interface to create FIFO
2
2
Preliminary Rev B
QL5232 - QuickPCITM
PCI Interface Symbol
The figure below shows the interface symbol you would use in your schematic design in order to attach the local interface programmable logic design to the PCI core. If you were designing with a top-level Verilog or VHDL file, then you would use a structural instantiation of this PCI32 block, instead of a graphical symbol.
PCI32 PCI Pads AD[31:0] CBEN[3:0] FRAMEN IRDYN TRDYN DEVSELN STOPN PAR PERRN SERRN REQN INTAN PCI_clock PCI_reset PCI_IRDYN_D1 PCI_FRAMEN_D1 PCI_DEVSELN_D1 PCI_TRDYN_D1 PCI_STOPN_D1 PCI_IDSEL_D1 Usr_WrReq Usr_Write Cfg_Write Usr_Addr_WrData[31:0] Usr_CBE[3:0] Usr_Adr_Valid Usr_Adr_Inc Usr_Last_Cycle_D1 Usr_TRDYN Usr_STOPN Usr_Devsel Cfg_PERR_Det Cfg_SERR_Sig Cfg_MstPERR_Det Master Mst_WrData_Rdy Mst_WrBurst_Done Mst_RdData[31:0] Mst_RdData_Valid Mst_RdBurst_Done Mst_Xfer_D1 Mst_Last_Cycle Mst_REQN Mst_IRDYN Mst_Tabort_Det Mst_TTO_Det
PCI Interface Symbol
CLK RSTN IDSEL GNTN
PCI Signals
Usr_RdData[31:0] Usr_Select Usr_Stop Usr_Interrupt Usr_Rdy Usr_MstRdAd_Sel Usr_MstWrAd_Sel Usr_RdDecode Usr_WrDecode Cfg_RdData[31:0] Cfg_LatCnt[7:0] Cfg_CmdReg6 Cfg_CmdReg8 Mst_WrMode Mst_WrData[31:0] Mst_WrData_Valid Mst_WrAd[31:0] Mst_RdMode Mst_RdAd[31:0] Mst_RdCmd[1:0] Mst_One_Read Mst_Two_Reads Mst_Burst_Req Mst_LatCntEn
Target
FIGURE 3. PCI Interface Symbol
3 Rev B
QL5232 - QuickPCITM
PCI Master Interface
The internal signals used to interface with the PCI controller in the QL5232 are listed below, along with a description of each signal. The direction of the signal indicates if it is an input provided by the local interface (i) or an output provided by the PCI controller (o). Signals that end with the character `N' should be considered activelow (for example, Mst_IRDYN
Mst_WrAd[31:0] I Address for master DMA writes. This address must be treated as valid from the beginning of a DMA burst write until the DMA write operation is complete. It must be incremented (by 4) each time data is transferred on the PCI bus, since only DWORD (4 byte) transfers are supported. Address for master DMA reads. This address must be treated as valid from the beginning of a DMA burst read until the DMA read operation is complete. It must be incremented (by 4) each time data is transferred on the PCI bus, since only DWORD (4 byte) transfers are supported. DMA state machine in "write" mode. This must be asserted at the beginning of a Master Transfer, and must be held until the Master Transfer completed (Mst_WrBurst_Done). DMA state machine in "read" mode. This must be asserted at the beginning of a Master Transfer, and must be held until the Master Transfer completed (Mst_RdBurst_Done). Request use of the PCI bus. This signal should be held from when the DMA controller is ready to provide the first data, until the transfer is complete (Mst_WrBurst Done or Mst_RdBurst_Done). This signals to the PCI core that one data transfer remains in the burst. This signal must be asserted when only one DWORD remains to be transferred on the PCI bus. Two or less data transfers remain in the burst. This signal must be asserted when two or less DWORDs remain to be transferred on the PCI bus. Data for master DMA writes (to PCI bus). Data valid on Mst_WrData[31:0]. Data receive acknowledge for Mst_WrData[31:0]. This serves as a POP control for a FIFO which provides data to the PCI core. Master write pipeline is empty, which indicates that the Write burst transaction is completed. Data for master DMA reads (from PCI bus). Data valid on Mst_RdData[31:0]. This serves as a PUSH control for a FIFO that receives data from the PCI core. Master read pipeline is empty, which indicates that Read burst transaction is completed. Type of PCI read command to be used for DMA reads: 00 or 01 = Memory Read 10 = Memory Read Line 11 = Memory Read Multiple Enable Latency Counter. Set to 0 to ignore the Latency Timer in the PCI configuration space (offset 0Ch). For full PCI compliance, this port should be always set to 1. Data was transferred on the previous PCI clock. Useful for updating DMA transfer counts on DMA Read operations. Active during the last data transfer of a PCI master transaction. The PCI REQN signal generated by this device as PCI master. Not usually used in the back-end design. The PCI IRDYN signal generated by this device as PCI master. Not usually used in the back-end design. Target abort detected during master transaction. This is normally an error condition to be handled in the DMA controller. Target timeout detected (no response from target). This is normally an error condition to be handled in the DMA controller.
PCI Master Interface
Mst_RdAd[31:0]
I
Mst_WrMode
I
Mst_RdMode
I
Mst_Burst_Req
I
Mst_One_Read Mst_Two_Reads Mst_WrData[31:0] Mst_WrData_Valid Mst_WrData_Rdy Mst_WrBurst_Done Mst_RdData[31:0] Mst_RdData_Valid Mst_RdBurst_Done Mst_RdCmd[1:0]
I I I I O O O O O I
Mst_LatCntEn Mst_Xfer_D1 Mst_Last_Cycle Mst_REQN Mst_IRDYN Mst_Tabort_Det Mst_TTO_Det
I O O O O O O
4
4
Preliminary Rev B
QL5232 - QuickPCITM
PCI Target Interface
PCI Target Interface
Usr_Addr_WrData[31:0] O Target address and data from target writes. During all target accesses, the address will be presented on Usr_Addr_WrData[31:0] and simultaneously, Usr_Adr_Valid will be active. During target write transactions, this port will present write data to the PCI configuration space or user logic. PCI command and byte enables. During target accesses, the PCI command will be presented on Usr_CBE[3:0] and simultaneously, Usr_Adr_Valid will be active. During target read or write transactions, this port will present active-low byte-enables to the PCI configuration space or user logic. Indicates the beginning of a PCI transaction, and that a target address is valid on Usr_Addr_WrData[31:0] and the PCI command is valid on Usr_CBE[3:0]. When this signal is active, the target address must be latched and decoded to determine if this address belongs to the device's memory space. Also, the PCI command must be decoded to determine the type of PCI transaction. On subsequent clocks of a target access, this signal will be low, indicating that data (not an address) is present on Usr_Addr_WrData[31:0]. Indicates that the target address should be incremented, because the previous data transfer has completed. During burst target accesses, the target address is only presented to the back-end logic at the beginning of the transaction (when Usr_Adr_Valid is active), and must therefore be latched and incremented (by 4) for subsequent data transfers. This signal will be active for the duration of a target write transaction, and may be used by back-end logic to turn on outputenables for transmitting the data off-chip. Active when a "user read" command has been decoded from the Usr_CBE[3:0] bus. This command may be mapped from any of the PCI "read" commands, such as Memory Read, Memory Read Line, Memory Read Multiple, I/O Read, etc. Active when a "user write" command has been decoded from the Usr_CBE[3:0] bus. This command may be mapped from any of the PCI "write" commands, such as Memory Write or I/O Write. The address on Usr_Addr_WrData[31:0] has been decoded and determined to be within the address space of the device. Usr_Addr_WrData[31:0] must be compared to each of the valid Base Address Registers in the PCI configuration space. Also, this signal must be gated by the Memory Access Enable or I/O Access Enable registers in the PCI configuration space (Command Register bits 1 or 0 at offset 04h). Write enable for data on Usr_Addr_WrData[31:0] during PCI writes. Write enable for data on Usr_Addr_WrData[31:0] during PCI configuration write transactions. Data from the PCI configuration registers, required to be presented during PCI configuration reads. Data from the back-end user logic (and/or DMA configuration registers), required to be presented during PCI reads.
5 Rev B
Usr_CBE[3:0]
O
Usr_Adr_Valid
O
Usr_Adr_Inc
O
Usr_WrReq
O
Usr_RdDecode
I
Usr_WrDecode
I
Usr_Select
I
Usr_Write Cfg_Write Cfg_RdData[31:0] Usr_RdData[31:0]
O O I I
QL5232 - QuickPCITM
PCI Target Interface (Continued)
Cfg_RdData[31:0] Usr_RdData[31:0] Cfg_CmdReg8 Cfg_CmdReg6 Cfg_LatCnt[7:0] Usr_MstRdAd_Sel I I I I I Data from the PCI configuration registers, required to be presented during PCI configuration reads. Data from the back-end user logic (and/or DMA configuration registers), required to be presented during PCI reads. Bits 6 and 8 from the Command Register in the PCI configuration space (offset 04h). 8-bit value of the Latency Timer in the PCI configuration space (offset 0Ch). Used when a target read operation should return the value set on the Mst_RdAd[31:0] pins. This select pin saves on logic which would otherwise need to be used to multiplex Mst_RdAd[31:0] into the Usr_RdData[31:0] bus. When this signal is asserted, the data on Usr_RdData[31:0] is ignored. Used when a target read operation should return the value set on the Mst_WrAd[31:0] pins. This select pin saves on logic which would otherwise need to be used to multiplex Mst_WrAd[31:0] into the Usr_RdData[31:0] bus. When this signal is asserted, the data on Usr_RdData[31:0] is ignored. Parity error detected on the PCI bus. When this signal is active, bit 15 of the Status Register must be set in the PCI configuration space (offset 04h). System error asserted on the PCI bus. When this signal is active, the Signalled System Error bit, bit 14 of the Status Register, must be set in the PCI configuration space (offset 04h). Data parity error detected on the PCI bus by the master. When this signal is active, bit 8 of the Status Register must be set in the PCI configuration space (offset 04h). Copy of the TRDYN signal as driven by the PCI target interface. Copy of the STOPN signal as driven by the PCI target interface. Inverted copy of the DEVSELN signal as driven by the PCI target interface. Last transfer in a PCI transaction is occurring. Used to delay (add wait states to) a PCI transaction when the back end needs additional time. Subject to PCI latency restrictions. Used to prematurely stop a PCI target access on the next PCI clock. Used to signal an interrupt on the PCI bus.
Usr_MstWrAd_Sel
I
Cfg_PERR_Det Cfg_SERR_Sig
O O
Cfg_MstPERR_Det
O
Usr_TRDYN Usr_STOPN Usr_Devsel Usr_Last_Cycle_D1 Usr_Rdy Usr_Stop Usr_Interrupt
O O O O I I I
6
6
Preliminary Rev B
QL5232 - QuickPCITM
PCI Internal Signals
PCI_clock PCI_reset PCI_IRDYN_D1 PCI_FRAMEN_D1 PCI_DEVSELN_D1 PCI_TRDYN_D1 PCI_STOPN_D1 PCI_IDSEL_D1 O O O O O O O O PCI clock. PCI reset signal. Copy of the IRDYN signal from the PCI bus, delayed by one clock. Copy of the FRAMEN signal from the PCI bus, delayed by one clock. Copy of the DEVSELN signal from the PCI bus, delayed by one clock. Copy of the TRDYN signal from the PCI bus, delayed by one clock. Copy of the STOPN signal from the PCI bus, delayed by one clock. Copy of the IDSEL signal from the PCI bus, delayed by one clock.
PCI Internal Signals
RAM Module Features RAM ModuleFeatures
The QL5232 device has twenty-two 1,152-bit RAM modules, for a total of 25,344 RAM bits. Using two "mode" pins, designers can configure each module into 64 (deep) x18 (wide), 128x9, 256x4, or 512x2 blocks. See the figure below. The blocks are also easily cascadable to increase their effective width or depth. The RAM modules are "dual-ported", with completely independent READ and WRITE ports and separate READ and WRITE clocks. The READ ports support asynchronous and synchronous operation, while the WRITE ports support synchronous operation. Each port has 18 data lines and 9 address lines, allowing word lengths of up to 18 bits and address spaces of up to 512 words. Depending on the mode selected, however, some higher order data or address lines may not be used. The Write Enable (WE) line acts as a clock enable for synchronous write operation. The Read Enable (RE) acts as a clock enable for synchronous READ operation (ASYNCRD input low), or as a flowthrough enable for asynchronous READ operation (ASYNCRD input high). Designers can cascade multiple RAM modules to increase the depth or width allowed in single modules by connecting corresponding address lines together and dividing the words between modules. This approach allows up to 512-deep configurations as large as 28 bits wide in the QL5232 device. A similar technique can be used to create depths greater than 512 words. In this case address signals higher than the eighth bit are encoded onto the write enable (WE) input for WRITE operations. The READ data outputs are multiplexed together using encoded higher READ address bits for the multiplexer SELECT signals.
RAM Module
MODE[1:0] WA[a:0] WD[w:0] ASYNCRD RA[a:0] RD[w:0]
WE WCLK
RE RCLK
FIGURE 4. RAM Module
Address Buses [a:0] 64x18 128x9 256x4 512x2 [5:0] [6:0] [7:0] [8:0]
Data Buses [w:0] [17:0] [8:0] >@ [1:0]
7 Rev B
QL5232 - QuickPCITM
JTAG Support
JTAG Support
QuickWorksDesign Software
JTAG pins support IEEE standard 1149.1a to provide boundary scan capability for the QL5232 device. Six pins are dedicated to JTAG and programming functions on each QL5232 device, and are unavailable for general design input and output signals. TDI, TDO, TCK, TMS, and TRSTB are JTAG pins. A sixth pin, STM, is used only for programming.
Third Party Design Entry & Synthesis
Schematic Verilog
SCS Tools
VHDL/
Turbo HDL Editor
Mixed-Mode Design
SynplifyHDL Synthesi Simulator Third Party Simulation Quick Tool/QuicChi: Optimize, Place, Route Silos III VeriBest
Development Tool Support
Software support for the QL5232 device is available through the QuickWorks(R) development package. This turnkey PC-based QuickWorks(R) package, shown in Figure 5, provides a complete ESP software solution with design entry, logic synthesis, place and route, and simulation. QuickWorks(R) includes VHDL, Verilog, schematic, and mixed-mode entry with fast and efficient logic synthesis provided by the integrated Synplicity Synplify LiteTM tool, specially tuned to take advantage of the QL5232 architecture. QuickWorks(R) also provides functional and timing simulation for guaranteed timing and source-level debugging. The UNIX-based QuickToolsTM and PC-based QuickWorks-LiteTM packages are a subset of QuickWorks(R) and provide a solution for designers who use schematic-only design flow third-party tools for design entry, synthesis, or simulation. QuickToolsTM and QuickWorks-LiteTM read EDIF netlists and provide support for all QuickLogic devices. QuickToolsTM and QuickWorks-LiteTM also support a wide range of third-party modeling and simulation tools. In addition, the PC-based package combines all the features of QuickWorks-LiteTM with the SCS schematic capture environment, providing a low-cost design entry and compilation solution.
Development Tool Support
FIGURE 5. QuickWorks(R) Tool Suite
8
8
Preliminary Rev B
QL5232 - QuickPCITM
Pin Type Descriptions
Pin Type Descriptions
The QL5232 Device Pins are indicated in the table below. These are pins on the device, some of which connect to the PCI bus, and others that are programmable as user IO.
Type IN OUT T/S S/T/S
Description Input. A standard input-only signal Totem pole output. A standard active output driver Tri-state. A bi-directional, tri-state input/output pin Sustained Tri-state. An active low tri-state signal driven by one PCI agent at a time. It must be driven high for at least one clock before being disabled (set to Hi-Z). A pull-up needs to be provided by the PCI system central resource to sustain the inactive state once the active driver has released the signal. Open Drain. Allows multiple devices to share this pin as a wired-or.
Pin/Bus Name VCC VCCIO
Type IN IN
Function Supply pin. Tie to 3.3V supply. Supply pin for I/O. Set to 3.3V for 3.3V I/O, 5V for 5.0V compliant I/O Ground pin. Tie to GND on the PCB. Programmable Input/Output/TriState/Bi-directional Pin. Programmable Global Network or Input-only pin. Tie to VCC or GND if unused. Programmable Array Network or Input-only pin. Tie to VCC or GND if unused. Reserved by QuickLogic for future PCB. JTAG Data In/RAM Init. Serial Data In. Tie to VCC if unused. Connect to Serial EPROM data for RAM init. JTAG Data Out/RAM Init Clock. Leave unconnected if unused. Connect to Serial EPROM clock for RAM init. JTAG Clock. Tie to GND if unused. JTAG Test Mode Select. Tie to VCC if unused. JTAG Reset/RAM Init. Reset Out. Tie to GND if unused. Connect to Serial EPROM reset for RAM init. QuickLogic Reserved pin. Tie to GND on the PCB.
GND I/O GLCK/I
IN T/S IN
ACLK/I
IN
O/D
RSVRD TDI/RSI*
IN IN
TDO/ RCO*
OUT
TCK TMS TRSTB/ RRO* STM
IN IN IN
IN
* See QuickNote 65 on the QuickLogic web site for information on RAM initialization.
9 Rev B
QL5232 - QuickPCITM
QL5032 External Device Pins
QL5232 External Device Pins
Pin/Bus Name
AD[31:0] CBEN[3:0]
Type
T/S T/S
Function
PCI Address and Data: 32 bit multiplexed address/data bus. PCI Bus Command and Byte Enables: Multiplexed bus which contains byte enables for AD[31:0] or the Bus Command during the address phase of a PCI transaction. PCI Parity: Even Parity across AD[31:0] and C/BEN[3:0] busses. Driven one clock after address or data phases. Master drives PAR on address cycles and PCI writes. The Target drives PAR on PCI reads. PCI Cycle Frame: Driven active by current PCI Master during a PCI transaction. Driven low to indicate the address cycle, driven high at the end of the transaction. PCI Device Select. Driven by a Target that has decoded a valid base address. PCI System Clock Input. PCI System Reset Input PCI Request. Indicates to the Arbiter that this PCI Agent (Initiator) wishes to use the bus. A point to point signal between the PCI Device and the System Arbiter. PCI Grant. Indicates to a PCI Agent (Initiator) that it has been granted access to the PCI bus by the Arbiter. A point to point signal between the PCI device and the System Arbiter. PCI Data Parity Error. Driven active by the initiator or target two clock cycles after a data parity error is detected on the AD and C/BE# busses. PCI System Error: Driven active when an address cycle parity error, data parity error during a special cycle, or other catastrophic error is detected. PCI Initialization Device Select. Use to select a specific PCI Agent during System Initialization. PCI Initiator Ready. Indicates the Initiator's ability to complete a read or write transaction. Data transfer occurs only on clock cycles where both IRDYN and TRDYN are active. PCI Target Ready. Indicates the Target's ability to complete a read or write transaction. Data transfer occurs only on clock cycles where both IRDYN and TRDYN are active. PCI Stop. Used by a PCI Target to end a burst transaction. Interrupt A. Asynchronous Active-Low Interrupt Request.
PAR
T/S
FRAMEN
S/T/S
DEVSELN CLK RSTN REQN
S/T/S IN IN T/S
GNTN
IN
PERRN
S/T/S
SERRN
O/D
IDSEL IRDYN
IN S/T/S
TRDYN
S/T/S
STOPN INTAN
S/T/S O/D
10
10
Preliminary Rev B
QL5232 - QuickPCITM
PIN #157
PIN #1
QuickPCI QL5232-33APQ208C
PIN # 53
FIGURE 6. 208-pin PQFP
PIN # 105
PIN A1 CORNER
Bottom View
FIGURE 7. 456-pin PBGA
11 Rev B
QL5232 - QuickPCITM
QL5232 - 208 PQFP Pinout Table
QL5232 - 208 PQFP Pinout Table
PQ208 Function
PQ208
Function
PQ20 8
Function
PQ208
Function
PQ208
Function
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42
I/O I/O I/O I/O I/O I/O I/O I/O I/O VCC I/O GND I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GND INTAN RSTN ACLK/I VCC GCLK/I CLK VCC GNTN REQN AD[31] AD[30] AD[29] AD[28] AD[27] AD[26] AD[25] AD[24] VCC CBEN[3]
43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84
GND IDSEL AD[23] AD[22] AD[21] AD[20] AD[19] AD[18] AD[17] AD[16] CBEN[2] TDI FRAMEN IRDYN TRDYN DEVSELN GND STOPN VCC RSVRD RSVRD PERRN RSVRD SERRN PAR CBEN[1] AD[15] AD[14] AD[13] AD[12] GND AD[11] AD[10] AD[9] AD[8] GND CBEN[0] AD[7] AD[6] AD[5] VCCIO AD[4]
85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126
AD[3] AD[2] AD[1] AD[0] I/O I/O I/O I/O I/O I/O GND I/O VCC I/O I/O I/O I/O I/O TRSTB TMS I/O I/O I/O I/O I/O I/O I/O I/O I/O VCC I/O GND I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O
127 GND 169 I/O 128 I/O 170 I/O (Continued from previous page) 129 GCLK/I 171 I/O 130 ACLK/I 172 I/O 131 VCC 173 I/O 132 GCLK/I 174 I/O 133 GCLK/I 175 I/O 134 VCC 176 I/O 135 I/O 177 GND 136 I/O 178 I/O 137 I/O 179 I/O 138 I/O 180 I/O 139 I/O 181 I/O 140 I/O 182 GND 141 I/O 183 I/O 142 I/O 184 I/O 143 I/O 185 I/O 144 I/O 186 I/O 145 VCC 187 VCCIO 146 I/O 188 I/O 147 GND 189 I/O 148 I/O 190 I/O 149 I/O 191 I/O 150 I/O 192 I/O 151 I/O 193 I/O 152 I/O 194 I/O 153 I/O 195 I/O 154 I/O 196 I/O 155 I/O 197 I/O 156 I/O 198 I/O 157 TCK 199 GND 158 STM 200 I/O 159 I/O 201 VCC 160 I/O 202 I/O 161 I/O 203 I/O 162 I/O 204 I/O 163 GND 205 I/O 164 I/O 206 I/O 165 VCC 207 TDO 166 I/O 208 I/O 167 I/O 168 I/O
12
12
Preliminary Rev B
QL5232 - QuickPCITM
QL5232 456-PBGA Pinout Table
QL5232 456-PBGA Pinout Table
PB456 D25 D26 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E12 E13 E14 E15 E16 E17 E18 E19 E20 E21 E22 E23 E24 E25 E26 F1 F2 F3 F4 F5 F22 F23 F24 F25 F26 G1 G2 G3 G4 G5 G22 G23 G24 G25 G26 H1 H2 H3 Function I/O I/O I/O I/O I/O I/O GND VCC GND NC GND I/O GND GND VCC GND GND GND NC GND NC GND VCC GND I/O I/O I/O I/O I/O I/O I/O NC VCC VCC NC I/O I/O I/O I/O I/O I/O I/O NC GND I/O I/O I/O I/O I/O I/O I/O PB456 H4 H5 H22 H23 H24 H25 H26 J1 J2 J3 J4 J5 J22 J23 J24 J25 J26 K1 K2 K3 K4 K5 K22 K23 K24 K25 K26 L1 L2 L3 L4 L5 L11 L12 L13 L14 L15 L16 L22 L23 L24 L25 L26 M1 M2 M3 M4 M5 M11 M12 M13
PB456 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25
Function I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O VCCIO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O
PB456 B26 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24
Function STM I/O I/O I/O TDO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O TCK I/O I/O I/O I/O GND I/O NC I/O I/O GND I/O I/O GND I/O I/O GND I/O I/O GND I/O I/O NC I/O GND I/O
Function I/O NC NC I/O I/O I/O I/O I/O I/O I/O NC GND NC NC I/O I/O I/O I/O I/O I/O I/O VCC GND I/O I/O I/O I/O I/O I/O I/O I/O NC GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM NC I/O I/O I/O I/O ACLK / I GCLK/I I/O NC GND GND/THERM GND/THERM GND/THERM
PB456 M14 M15 M16 M22 M23 M24 M25 M26 N1 N2 N3 N4 N5 N11 N12 N13 N14 N15 N16 N22 N23 N24 N25 N26 P1 P2 P3 P4 P5 P11 P12 P13 P14 P15 P16 P22 P23 P24 P25 P26 R1 R2 R3 R4 R5 R11 R12 R13 R14 R15 R16
Function GND/THERM GND/THERM GND/THERM NC NC I/O I/O I/O CLK I/O I/O RSTN VCC GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM GND I/O I/O I/O I/O I/O I/O I/O I/O NC GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM NC GCLK / I ACLK / I I/O ACLK / I I/O I/O I/O NC NC GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM GND/THERM
13 Rev B
QL5232 - QuickPCITM
QL5232 456-PBGA Pinout Table
QL5232 456-PBGA Pinout Table
F u n c tio n I/O I/O I/O I/O I/O GND I/O I/O I/O I/O I/O I/O NC NC VCC VCC NC I/O I/O I/O I/O I/O I/O I/O GND VCC NC NC NC VCC GND NC A D [1 5 ] GND VCC A D [6 ] NC VCC GND NC VCC GND I/O I/O I/O I/O I/O I/O NC GND A D [2 6 ] PB456 AC6 AC7 AC8 AC9 AC10 AC11 AC12 AC13 AC14 AC15 AC16 AC17 AC18 AC19 AC20 AC21 AC22 AC23 AC24 AC25 AC26 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AE1 AE2 AE3 AE4
PB456 R22 R23 R24 R25 R26 T1 T2 T3 T4 T5 T11 T12 T13 T14 T15 T16 T22 T23 T24 T25 T26 U1 U2 U3 U4 U5 U22 U23 U24 U25 U26 V1 V2 V3 V4 V5 V22 V23 V24 V25 V26 W1 W2 W3 W4 W5 W 22 W 23 W 24 W 25 W 26
F u n c tio n VCC NC I/O I/O GCLK / I I/O I/O I/O I/O VCC G N D /T H E R M A L G N D /T H E R M A L G N D /T H E R M A L G N D /T H E R M A L G N D /T H E R M A L G N D /T H E R M A L GND I/O I/O I/O I/O I/O I/O I/O I/O GND NC I/O I/O I/O I/O I/O I/O I/O NC NC GND NC I/O I/O I/O I/O I/O I/O I/O NC NC I/O I/O I/O I/O
PB456 Y1 Y2 Y3 Y4 Y5 Y22 Y23 Y24 Y25 Y26 AA1 AA2 AA3 AA4 AA5 AA22 AA23 AA24 AA25 AA26 AB1 AB2 AB3 AB4 AB5 AB6 AB7 AB8 AB9 AB10 AB11 AB12 AB13 AB14 AB15 AB16 AB17 AB18 AB19 AB20 AB21 AB22 AB23 AB24 AB25 AB26 AC1 AC2 AC3 AC4 AC5
F u n c tio n NC
$ ' >@
A D [1 8 ] NC STO PN I/O NC A D [1 1 ] V C C IO NC A D [2 ] I/O NC I/O I/O I/O NC GND I/O I/O I/O I/O NC I/O I/O A D [2 8 ] A D [2 4 ] ID S E L A D [2 0 ] FRAM EN TRDYN I/O PAR A D [1 3 ] A D [9 ] C B E N [0 ] A D [4 ] I/O I/O I/O I/O I/O I/O TRSTB I/O I/O I/O TDI IN T A N GNTN A D [3 0 ]
PB456 AE5 AE6 AE7 AE8 AE9 AE10 AE11 AE12 AE13 AE14 AE15 AE16 AE17 AE18 AE19 AE20 AE21 AE22 AE23 AE24 AE25 AE26 AF1 AF2 AF3 AF4 AF5 AF6 AF7 AF8 AF9 AF10 AF11 AF12 AF13 AF14 AF15 AF16 AF17 AF18 AF19 AF20 AF21 AF22 AF23 AF24 AF25 AF26
F u n c tio n A D [2 7 ] C B E N [3 ] A D [2 1 ] A D [1 7 ] A D [1 6 ] DEVSELN PERRN C B E N [1 ] A D [1 2 ] A D [8 ] A D [5 ] A D [1 ] I/O I/O I/O I/O I/O I/O NC TMS I/O I/O I/O I/O REQ N A D [3 1 ] A D [2 9 ] A D [2 5 ] A D [2 3 ] A D [1 9 ] C B E N [2 ] IR D Y N I/O SERRN A D [1 4 ] A D [1 0 ] A D [7 ] A D [3 ] A D [0 ] I/O I/O I/O I/O I/O I/O I/O I/O I/O
14
14
Preliminary Rev B
QL5232 - QuickPCITM
Absolute Maximum Ratings
VCC Voltage............ ............ -0.5 to 4.6V VCCIO Voltage ........ ............ -0.5 to 7.0V Input Voltage ........... -0.5 to VCCIO+0.5V Latch-up Immunity ................ .... 200mA DC Input Current ........................... .... 20 mA ESD Pad Protection........................ .... 2000V Storage Temperature ...............-65C to +150C Lead Temperature ................... ...... .......300C
Operating Range
Symbol VCC VCCIO TA TC K Parameter Supply Voltage I/O Input Tolerance Voltage Ambient Temperature Case Temperature Delay Factor -A Speed Grade Military Min Max 3.0 3.6 3.0 5.5 -55 125 0.42 1.02 Industrial Min Max 3.0 3.6 3.0 5.5 -40 85 0.43 0.95 Commercial Min Max 3.0 3.6 3.0 5.25 0 70 0.46 0.93 Unit V V C C
DC Characteristics
Symbol VIH VIL VOH VOL II IOZ CI IOS ICC ICCIO Parameter Input HIGH Voltage Input LOW Voltage Output HIGH Voltage Output LOW Voltage I or I/O Input Leakage Current 3-State Output Leakage Current Input Capacitance [1] Output Short Circuit Current [2] D.C. Supply Current [3] D.C. Supply Current on VCCIO Min Max Unit 0.5VCC VCCIO+0.5 V -0.5 0.3VCC V IOH = -12 mA 2.4 V 0.9VCC V IOH = -500 A IOL = 16 mA 0.45 V IOL = 1.5 mA 0.1VCC V VI = VCCIO or GND -10 10 A VI = VCCIO or GND -10 10 A 10 pF VO = GND -15 -180 mA VO = VCC 40 210 mA VI, VIO = VCCIO or GND 0.50 (typ) 2 mA 0 100 A Conditions
Notes: [1] Capacitance is sample tested only. [2] Only one output at a time. Duration should not exceed 30 seconds. [3] For -A commercial grade device only. Maximum ICC is 3 mA for all industrial grade devices. For AC conditions, contact QuickLogic Customer Engineering.
15 Rev B
QL5232 - QuickPCITM
AC CHARACTERISTICS at VCC = 3.3V, TA = 25C (K = 1.00)
(To calculate delays, multiply the appropriate K factor in the "Operating Range" section by the following numbers.)
Logic Cells
Propagation Delays (ns) Fanout [5] 2 3 4 1.7 1.9 2.2 1.7 1.7 1.7 0.0 0.0 0.0 1.0 1.2 1.5 1.2 1.2 1.2 1.2 1.2 1.2 1.3 1.5 1.8 1.1 1.3 1.6 1.9 1.9 1.9 1.8 1.8 1.8
Symbol tPD tSU tH tCLK tCWHI tCWLO tSET tRESET tSW tRW
Parameter Combinatorial Delay [6] Setup Time [6] Hold Time Clock to Q Delay Clock High Time Clock Low Time Set Delay Reset Delay Set Width Reset Width 1 1.4 1.7 0.0 0.7 1.2 1.2 1.0 0.8 1.9 1.8
8 3.2 1.7 0.0 2.5 1.2 1.2 2.8 2.6 1.9 1.8
RAM Cell Synchronous Write Timing
Symbol TSWA THWA TSWD THWD TSWE THWE TWCRD
Notes:
Parameter WA Setup Time to WCLK WA Hold Time to WCLK WD Setup Time to WCLK WD Hold Time to WCLK WE Setup Time to WCLK WE Hold Time to WCLK WCLK to RD (WA=RA) [4] 1 1.0 0.0 1.0 0.0 1.0 0.0 5.0
Propagation Delays (ns) Fanout [4] 2 3 4 1.0 1.0 1.0 0.0 0.0 0.0 1.0 1.0 1.0 0.0 0.0 0.0 1.0 1.0 1.0 0.0 0.0 0.0 5.3 5.6 5.9
8 1.0 0.0 1.0 0.0 1.0 0.0 7.1
[4] Stated timing for worst case Propagation Delay over process variation at VCC=3.3V and TA=25C. Multiply by the appropriate Delay Factor, K, for speed grade, voltage and temperature settings as specified in the Operating Range. [5] These limits are derived from a representative selection of the slowest paths through the QuickRAM logic cell including typical net delays. Worst case delay values for specific paths should be determined from timing analysis of your particular design.
16
16
Preliminary Rev B
QL5232 - QuickPCITM
RAM Cell Synchronous Read Timing
Symbol TSRA THRA TSRE THRE TRCRD
Parameter RA Setup Time to RCLK RA Hold Time to RCLK RE Setup Time to RCLK RE Hold Time to RCLK RCLK to RD [5] 1 1.0 0.0 1.0 0.0 4.0
Propagation Delays (ns) Fanout 2 3 4 1.0 1.0 1.0 0.0 0.0 0.0 1.0 1.0 1.0 0.0 0.0 0.0 4.3 4.6 4.9
8 1.0 0.0 1.0 0.0 6.1
RAM Cell Asynchronous Read Timing
Symbol RPDRD Parameter RA to RD [5] 1 3.0 Propagation Delays (ns) Fanout 2 3 4 3.3 3.6 3.9
8 5.1
Input-Only Cells
Propagation Delays (ns) Fanout [5]
1 2 3 4 8 12 24
Symbol TIN TINI TISU TIH TlCLK TlRST TlESU TlEH
Parameter High Drive Input Delay High Drive Input, Inverting Delay Input Register Set-Up Time Input Register Hold Time Input Register Clock To Q Input Register Reset Delay Input Register Clock Enable Setup Time Input Register Clock Enable Hold Time 1.5 1.6 3.1 0.0 0.7 0.6 2.3 0.0
1.6 1.7 3.1 0.0 0.8 0.7 2.3 0.0
1.8 1.9 3.1 0.0 1.0 0.9 2.3 0.0
1.9 2.0 3.1 0.0 1.1 1.0 2.3 0.0
2.4 2.5 3.1 0.0 1.6 1.5 2.3 0.0
2.9 3.0 3.1 0.0 2.1 2.0 2.3 0.0
4.4 4.5 3.1 0.0 3.6 3.5 2.3 0.0
Clock Cells
Propagation Delays (ns) Loads per Half Column [6] 1 tACK tGCKP tGCKB Array Clock Delay Global Clock Pin Delay Global Clock Buffer Delay 1.2 0.7 0.8 2 1.2 0.7 0.8 3 1.3 0.7 0.9 4 1.3 0.7 0.9 8 1.5 0.7 1.1 10 1.6 0.7 1.2 12 1.7 0.7 1.3 15 1.8 0.7 1.4
Symbols
Parameter
Notes: [6] The array distributed networks consist of 40 half columns and the global distributed networks consist of 44 half columns, each driven by an independent buffer. The number of half columns used does not affect clock buffer delay. The array clock has up to 8 loads per half column. The global clock has up to 11 loads per half column.
17 Rev B
QL5232 - QuickPCITM
I/O Cell Input Delays
Propagation Delays (ns) Fanout [5]
1 tI/O TISU TIH TlOCLK TlORST TlESU TlEH Input Delay (bidirectional pad) Input Register Set-Up Time Input Register Hold Time Input Register Clock To Q Input Register Reset Delay Input Register clock Enable Set-Up Time Input Register Clock Enable Hold Time 2 3 4 8 10
Symbol
Parameter 1.3 3.1 0.0 0.7 0.6 2.3 0.0
1.6 3.1 0.0 1.0 0.9 2.3 0.0
1.8 3.1 0.0 1.2 1.1 2.3 0.0
2.1 3.1 0.0 1.5 1.4 2.3 0.0
3.1 3.1 0.0 2.5 2.4 2.3 0.0
3.6 3.1 0.0 3.0 2.9 2.3 0.0
I/O Cell Output Delays
Propagation Delays (ns) Output Load Capacitance (pF)
30 TOUTLH TOUTHL TPZH TPZL TPHZ TPLZ Output Delay Low to High Output Delay High to Low Output Delay Tri-state to High Output Delay Tri-state to Low Output Delay High to Tri-State [8] Output Delay Low to Tri-State [8] 50 75 100 150
Symbol
Parameter 2.1 2.2 1.2 1.6 2.0 1.2
2.5 2.6 1.7 2.0
3.1 3.2 2.2 2.6
3.6 3.7 2.8 3.1
4.7 4.8 3.9 4.2
Notes:
[7] The following loads are used for tPXZ:
tPHZ 1K 5 pF 1K tPLZ 5 pF
18
18
Preliminary Rev B


▲Up To Search▲   

 
Price & Availability of QL5232

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X